CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL CUP

搜索资源列表

  1. cpu

    0下载:
  2. 简单的cup程序,帮助初学者学习cpu工作流程,含有仿真波形-Cup simple procedures to help beginners learn cpu workflow, containing simulated waveform
  3. 所属分类:OA

    • 发布日期:2017-04-02
    • 文件大小:153522
    • 提供者:QWE123
  1. traffic_light

    0下载:
  2. 此文件给出了一个多功能交通灯的VHDL代码实现,可作为电赛的准备材料-This paper gives a multi-functional traffic lights to achieve the VHDL code can be used as electric materials Cup preparations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:186725
    • 提供者:王双
  1. CUP

    0下载:
  2. cup 的设计源代码,含有一步乘除法的功能,在fpja上已经测试过。 望对大家有所帮助-cup design source code, which contains step multiplication and division functions in fpja have been tested. We hope to be helpful
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:870682
    • 提供者:dong
  1. FPGADSPBuilder

    0下载:
  2. DE2平台应用及DSPBUILDER技术,是altera杯上海交大电子设计竞赛内部材料,内含详细设计原理及源代码-DE2 platform and DSP BUILDER technology, Shanghai Jiaotong University altera Cup Electronic Design Contest of internal materials, including the principle of the detailed design and source code
  3. 所属分类:DSP program

    • 发布日期:2017-05-11
    • 文件大小:3059743
    • 提供者:lucy
  1. cup

    0下载:
  2. cpu控制器用vhdl代码编写组成原理的控制器组合逻辑-cpu controller using vhdl coding theory composed of combinational logic controller
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-06
    • 文件大小:17461
    • 提供者:liguifang
  1. POC

    0下载:
  2. CUP 与打印机的接口POC,主要实现了握手信号的交流和数据的传输。程序运用了语言VHDL-CUP and the printer interface POC, mainly realized exchange handshake signals and data transmission. Program used the VHDL language
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:119138
    • 提供者:TY
  1. VHDL

    0下载:
  2. 硬布线的cup设计,使用VHDL语言,此为txt文档,复制即可-Hard-wired cup design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1353
    • 提供者:fan Yang
  1. cpu

    0下载:
  2. 《vhdl编程实例》(第四版)内的cup设计源代码 -Cup design source code " vhdl programming examples" (fourth edition)
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-29
    • 文件大小:185215
    • 提供者:
搜珍网 www.dssz.com